1
|
Ji YJ, Kim HI, Kang JE, Choi SY, Kim KH, Kim DS, Ellingboe AR, Kim HM, Yeom GY, Kim DW. Plasma enhanced atomic layer deposition of silicon nitride using magnetized very high frequency plasma. NANOTECHNOLOGY 2024; 35:275701. [PMID: 38522102 DOI: 10.1088/1361-6528/ad3740] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Received: 11/16/2023] [Accepted: 03/24/2024] [Indexed: 03/26/2024]
Abstract
To obtain high-quality SiNxfilms applicable to an extensive range of processes, such as gate spacers in fin field-effect transistors (FinFETs), the self-aligned quadruple patterning process, etc, a study of plasma with higher plasma density and lower plasma damage is crucial in addition to study on novel precursors for SiNxplasma-enhanced atomic layer deposition (PEALD) processes. In this study, a novel magnetized PEALD process was developed for depositing high-quality SiNxfilms using di(isopropylamino)silane (DIPAS) and magnetized N2plasma at a low substrate temperature of 200 °C. The properties of the deposited SiNxfilms were analyzed and compared with those obtained by the PEALD process using a non-magnetized N2plasma source under the same conditions. The PEALD SiNxfilm, produced using an external magnetic field (ranging from 0 to 100 G) during the plasma exposure step, exhibited a higher growth rate (∼1 Å/cycle) due to the increased plasma density. Additionally, it showed lower surface roughness, higher film density, and enhanced wet etch resistance compared to films deposited using the PEALD process with non-magnetized plasmas. This improvement can be attributed to the higher ion flux and lower ion energy of the magnetized plasma. The electrical characteristics, such as interface trap density and breakdown voltage, were also enhanced when the magnetized plasma was used for the PEALD process. Furthermore, when SiNxfilms were deposited on high-aspect-ratio (30:1) trench patterns using the magnetized PEALD process, an improved step coverage of over 98% was achieved, in contrast to the conformality of SiNxdeposited using non-magnetized plasma. This enhancement is possibly a result of deeper radical penetration enabled by the magnetized plasma.
Collapse
Affiliation(s)
- You Jin Ji
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Hae In Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Ji Eun Kang
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Seung Yup Choi
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Ki Hyun Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Doo San Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
- Department of Materials Science and Engineering, The University of Texas at Dallas, 800 West Cambell Road, Richardson, TX 75080, United States of America
| | - A R Ellingboe
- Plasma Research Laboratory, School of Physical Sciences and NCPST, Dublin City University, Dublin 9, Ireland
| | - Hye Min Kim
- Division of Marine System Engineering, Korea Maritime and Ocean University, 727 Taejong-ro, Yeongdo-gu, Busan 49112, Republic of Korea
| | - Geun Young Yeom
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
- SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Dong Woo Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| |
Collapse
|
2
|
Mameli A, Tapily K, Shen J, Roozeboom F, Lu M, O'Meara D, Semproni SP, Chen JR, Clark R, Leusink G, Clendenning S. Unfolding an Elusive Area-Selective Deposition Process: Atomic Layer Deposition of TiO 2 and TiON on SiN vs SiO 2. ACS APPLIED MATERIALS & INTERFACES 2024; 16:14288-14295. [PMID: 38442210 DOI: 10.1021/acsami.3c17917] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 03/07/2024]
Abstract
Area-selective atomic layer deposition (AS-ALD) processes for TiO2 and TiON on SiN as the growth area vs SiO2 as the nongrowth area are demonstrated on patterns created by state-of-the-art 300 mm semiconductor wafer fabrication. The processes consist of an in situ CF4/N2 plasma etching step that has the dual role of removing the SiN native oxide and passivating the SiO2 surface with fluorinated species, thus rendering the latter surface less reactive toward titanium tetrachloride (TiCl4) precursor. Additionally, (dimethylamino)trimethylsilane was employed as a small molecule inhibitor (SMI) to further enhance the selectivity. Virtually perfect selectivity was obtained when combining the deposition process with intermittent CF4/N2 plasma-based back-etching steps, as demonstrated by scanning and transmission electron microscopy inspections. Application-compatible thicknesses of ∼8 and ∼5 nm were obtained for thermal ALD of TiO2 and plasma ALD of TiON.
Collapse
Affiliation(s)
- Alfredo Mameli
- TNO-Holst Centre, High Tech Campus 31, 5656 AE Eindhoven, The Netherlands
| | - Kanda Tapily
- TEL Technology Center, America, LLC, Albany, New York 12203, United States
| | - Jie Shen
- TNO-Holst Centre, High Tech Campus 31, 5656 AE Eindhoven, The Netherlands
| | - Fred Roozeboom
- University of Twente, PO Box 217, 7500 AE Enschede, The Netherlands
| | - Mengcheng Lu
- Intel Corporation, Hillsboro, Oregon 97124-6497, United States
| | - David O'Meara
- Tokyo Electron America, Albany, New York 12203, United States
| | | | - Jiun-Ruey Chen
- Intel Corporation, Hillsboro, Oregon 97124-6497, United States
| | - Robert Clark
- TEL Technology Center, America, LLC, Albany, New York 12203, United States
| | - Gert Leusink
- TEL Technology Center, America, LLC, Albany, New York 12203, United States
| | | |
Collapse
|
3
|
Ji YJ, Kim HI, Choi SY, Kang JE, Ellingboe AR, Chandra H, Lee CW, Yeom GY. Plasma Enhanced Atomic Layer Deposition of Silicon Nitride for Two Different Aminosilane Precursors Using Very High Frequency (162 MHz) Plasma Source. ACS APPLIED MATERIALS & INTERFACES 2023. [PMID: 37269552 DOI: 10.1021/acsami.3c02950] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
Plasma enhanced atomic layer deposition (PEALD) of silicon nitride (SiNx) using very high frequency (VHF, 162 MHz) plasma source was investigated at the process temperatures of 100, 200, and 300 °C. Two aminosilane precursors having different numbers of amino ligands, bis(tert-butylamino)silane (BTBAS) and di(sec-butylamino)silane (DSBAS), were used as Si precursors. A comparative study was also conducted to verify the effect of the number of amino ligands on the properties of SiNx film. At all process temperatures, DSBAS, having one amino ligand, performed better than BTBAS in various aspects. SiNx films deposited using DSBAS had lower surface roughness, higher film density, lower wet etch rate, improved electrical characteristics, and higher growth rate than those deposited using BTBAS. With the combination of a VHF plasma source and DSBAS with one amino ligand, the SiNx films grown at 300 °C exhibited low wet etch rates (≤2 nm/min) in a dilute HF solution (100:1 of deionized water:HF) as well as low C content below the XPS detection limit. Also, excellent step coverage close to 100% on high aspect ratio (30:1) trench structures was obtained by using VHF plasma, which could provide sufficient flux of plasma species inside the trenches in conjunction with DSBAS having fewer amino ligands than BTBAS.
Collapse
Affiliation(s)
- You Jin Ji
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Hae In Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Seung Yup Choi
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Ji Eun Kang
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| | - Albert Rogers Ellingboe
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
- Plasma Research Laboratory, School of Physical Sciences and NCPST, Dublin City University, Dublin D9, Ireland
| | - Haripin Chandra
- EMD Electronics, 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Chang-Won Lee
- Merck Korea, Jangjagol-ro 82, Danwon-gu, Ansan-si, Gyeonggi-do 15601, Republic of Korea
| | - Geun Young Yeom
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
- SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do 16419, Republic of Korea
| |
Collapse
|
4
|
McCluskey AR, Caruana AJ, Kinane CJ, Armstrong AJ, Arnold T, Cooper JFK, Cortie DL, Hughes AV, Moulin JF, Nelson ARJ, Potrzebowski W, Starostin V. Advice on describing Bayesian analysis of neutron and X-ray reflectometry. J Appl Crystallogr 2023; 56:12-17. [PMID: 36777146 PMCID: PMC9901928 DOI: 10.1107/s1600576722011426] [Citation(s) in RCA: 4] [Impact Index Per Article: 4.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 09/16/2022] [Accepted: 11/28/2022] [Indexed: 01/18/2023] Open
Abstract
As a result of the availability of modern software and hardware, Bayesian analysis is becoming more popular in neutron and X-ray reflectometry analysis. The understandability and replicability of these analyses may be harmed by inconsistencies in how the probability distributions central to Bayesian methods are represented in the literature. Herein advice is provided on how to report the results of Bayesian analysis as applied to neutron and X-ray reflectometry. This includes the clear reporting of initial starting conditions, the prior probabilities, the results of any analysis and the posterior probabilities that are the Bayesian equivalent of the error bar, to enable replicability and improve understanding. It is believed that this advice, grounded in the authors' experience working in the field, will enable greater analytical reproducibility in the work of the reflectometry community, and improve the quality and usability of results.
Collapse
Affiliation(s)
- Andrew R. McCluskey
- European Spallation Source ERIC, PO Box 176, Lund, SE-22100, Sweden,Correspondence e-mail: ,
| | - Andrew J. Caruana
- ISIS Neutron and Muon Source, Rutherford Appleton Laboratory, Didcot, Oxfordshire OX11 0QX, United Kingdom,Correspondence e-mail: ,
| | - Christy J. Kinane
- ISIS Neutron and Muon Source, Rutherford Appleton Laboratory, Didcot, Oxfordshire OX11 0QX, United Kingdom
| | - Alexander J. Armstrong
- ISIS Neutron and Muon Source, Rutherford Appleton Laboratory, Didcot, Oxfordshire OX11 0QX, United Kingdom
| | - Thomas Arnold
- European Spallation Source ERIC, PO Box 176, Lund, SE-22100, Sweden
| | - Joshaniel F. K. Cooper
- ISIS Neutron and Muon Source, Rutherford Appleton Laboratory, Didcot, Oxfordshire OX11 0QX, United Kingdom
| | - David L. Cortie
- Australian Nuclear Science and Technology Organisation, Lucas Heights, New South Wales, Australia
| | - Arwel V. Hughes
- ISIS Neutron and Muon Source, Rutherford Appleton Laboratory, Didcot, Oxfordshire OX11 0QX, United Kingdom
| | - Jean-Francois Moulin
- German Engineering Material Science at Heinz Maier-Leibnitz Zentrum, Helmholtz-Zentrum Hereon, Lichtenbergstraße 1, 85748 Garching, Germany
| | - Andrew R. J. Nelson
- Australian Nuclear Science and Technology Organisation, Lucas Heights, New South Wales, Australia
| | | | - Vladimir Starostin
- Institute of Applied Physics, University of Tübingen, Auf der Morgenstelle 10, 72076 Tübingen, Germany
| |
Collapse
|
5
|
Vasiliev VY. COMPOSITION, STRUCTURE, AND FUNCTIONAL PROPERTIES OF THIN SILICON NITRIDE FILMS GROWN BY ATOMIC LAYER DEPOSITION FOR MICROELECTRONIC APPLICATIONS (REVIEW OF 25 YEARS OF RESEARCH). J STRUCT CHEM+ 2022. [DOI: 10.1134/s0022476622070022] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/23/2022]
|
6
|
Atomic layer deposition of alumina onto yolk-shell FeS/MoS2 as universal anodes for Li/Na/K-Ion batteries. Electrochim Acta 2022. [DOI: 10.1016/j.electacta.2021.139471] [Citation(s) in RCA: 4] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/19/2022]
|
7
|
Sosnov EA, Malkov AA, Malygin AA. Nanotechnology of Molecular Layering in Production of Inorganic and Hybrid Materials for Various Functional Purposes: II. Molecular Layering Technology and Prospects for Its Commercialization and Development in the XXI Century. RUSS J APPL CHEM+ 2021. [DOI: 10.1134/s1070427221090020] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/22/2022]
|
8
|
Silicon Nitride and Hydrogenated Silicon Nitride Thin Films: A Review of Fabrication Methods and Applications. MATERIALS 2021; 14:ma14195658. [PMID: 34640056 PMCID: PMC8510430 DOI: 10.3390/ma14195658] [Citation(s) in RCA: 8] [Impact Index Per Article: 2.7] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 08/13/2021] [Revised: 09/18/2021] [Accepted: 09/22/2021] [Indexed: 11/17/2022]
Abstract
Silicon nitride (SiNx) and hydrogenated silicon nitride (SiNx:H) thin films enjoy widespread scientific interest across multiple application fields. Exceptional combination of optical, mechanical, and thermal properties allows for their utilization in several industries, from solar and semiconductor to coated glass production. The wide bandgap (~5.2 eV) of thin films allows for its optoelectronic application, while the SiNx layers could act as passivation antireflective layers or as a host matrix for silicon nano-inclusions (Si-ni) for solar cell devices. In addition, high water-impermeability of SiNx makes it a potential candidate for barrier layers of organic light emission diodes (OLEDs). This work presents a review of the state-of-the-art process techniques and applications of SiNx and SiNx:H thin films. We focus on the trends and latest achievements of various deposition processes of recent years. Historically, different kinds of chemical vapor deposition (CVD), such as plasma enhanced (PE-CVD) or hot wire (HW-CVD), as well as electron cyclotron resonance (ECR), are the most common deposition methods, while physical vapor deposition (PVD), which is primarily sputtering, is also widely used. Besides these fabrication methods, atomic layer deposition (ALD) is an emerging technology due to the fact that it is able to control the deposition at the atomic level and provide extremely thin SiNx layers. The application of these three deposition methods is compared, while special attention is paid to the effect of the fabrication method on the properties of SiNx thin films, particularly the optical, mechanical, and thermal properties.
Collapse
|
9
|
Karwal S, Karasulu B, Knoops HCM, Vandalon V, Kessels WMM, Creatore M. Atomic insights into the oxygen incorporation in atomic layer deposited conductive nitrides and its mitigation by energetic ions. NANOSCALE 2021; 13:10092-10099. [PMID: 34052842 DOI: 10.1039/d0nr08921d] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/12/2023]
Abstract
Oxygen is often detected as impurity in metal and metal nitride films prepared by atomic layer deposition (ALD) and its presence has profound and adverse effects on the material properties. In this work, we present the case study of HfNx films prepared by plasma-assisted ALD by alternating exposures of CpHf(NMe2)3 and H2 plasma. First, we identify the primary source of O contamination in the film. Specifically, we find that the extent of O incorporation in HfNx films is determined by the flux of background H2O/O2 residual gases reaching the HfNx surface during the ALD process and leads to the formation of Hf-O bonds. Then, we report on the decrease in the concentration of Hf-O bonds in the film upon application of an external radiofrequency (rf) substrate bias during the H2 plasma step. The experimental work is accompanied by first principles calculations to gain insights into the O incorporation and its mitigation upon the impingement of energetic ions on the surface. Specifically, we find that the dissociative binding of H2O on a bare HfN surface is highly favored, resulting in surface Hf-OH groups and concomitant increase in the oxidation state of Hf. We also show that energetic cations (H+, H2+ and H3+) lead to the dissociation of surface Hf-OH bonds, H2O formation, and its subsequent desorption from the surface. The latter is followed by reduction of the Hf oxidation state, presumably by H˙ radicals. The atomic-level understanding obtained in this work on O incorporation and its abstraction are expected to be crucial to prevent O impurities in the HfNx films and contribute to the fabrication of other technologically relevant low resistivity ALD-grown transition metal nitride films.
Collapse
Affiliation(s)
- Saurabh Karwal
- Department of Applied Physics, University of Technology Eindhoven, 5600MB, P.O. Box 513, Eindhoven, Netherlands.
| | | | | | | | | | | |
Collapse
|
10
|
Hornsveld N, Kessels WMM, Synowicki RA, Creatore M. Atomic layer deposition of LiF using LiN(SiMe 3) 2 and SF 6 plasma. Phys Chem Chem Phys 2021; 23:9304-9314. [PMID: 33885050 DOI: 10.1039/d0cp05428c] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/21/2022]
Abstract
Lithium fluoride films were prepared by atomic layer deposition (ALD) using a new route in which LiN(SiMe3)2 is used as a precursor and SF6 plasma as a coreactant. Conformal LiF films were deposited at 150 °C at a growth rate of ∼0.4 Å per cycle. All deposited films were polycrystalline and slightly lithium-rich with a composition of LiF0.8, independently of the plasma conditions (e.g. exposure time, pressure and power). The levels of H, C, N, O, Si, and S were all <1 at%. Spectroscopic ellipsometry measurements were carried out over the wavelength range of 140-2480 nm and showed a refractive index of 1.37 at 633 nm for films deposited using 1 s plasma exposure time. We conclude that short plasma exposures are preferred, since a prolonged exposure time leads to an increase in optical absorption and lower growth per cycle values. Furthermore, mass spectrometry measurements revealed the formation of SiMe3F species during both half-cycles, originating from the reaction between the precursor ligands and fluorine species present either at the surface or in the plasma. Moreover, the SF6 plasma step led to the formation of fluorocarbon species, suggesting that dissociation and recombination in the plasma takes place. Overall, this work demonstrates that SF6 plasma offers a promising alternative to other coreactants for ALD of high purity lithium fluoride.
Collapse
Affiliation(s)
- N Hornsveld
- Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven, The Netherlands.
| | | | | | | |
Collapse
|
11
|
Vos MFJ, Knoops HCM, Kessels WMM, Mackus AJM. Reaction Mechanisms during Atomic Layer Deposition of AlF 3 Using Al(CH 3) 3 and SF 6 Plasma. THE JOURNAL OF PHYSICAL CHEMISTRY. C, NANOMATERIALS AND INTERFACES 2021; 125:3913-3923. [PMID: 33815650 PMCID: PMC8016095 DOI: 10.1021/acs.jpcc.0c10695] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Figures] [Subscribe] [Scholar Register] [Received: 11/29/2020] [Revised: 01/11/2021] [Indexed: 06/12/2023]
Abstract
Metal fluorides generally demonstrate a wide band gap and a low refractive index, and they are commonly employed in optics and optoelectronics. Recently, an SF6 plasma was introduced as a novel co-reactant for the atomic layer deposition (ALD) of metal fluorides. In this work, the reaction mechanisms underlying the ALD of fluorides using a fluorine-containing plasma are investigated, considering aluminum fluoride (AlF3) ALD from Al(CH3)3 and an SF6 plasma as a model system. Surface infrared spectroscopy studies indicated that Al(CH3)3 reacts with the surface in a ligand-exchange reaction by accepting F from the AlF3 film and forming CH3 surface groups. It was found that at low deposition temperatures Al(CH3)3 also reacts with HF surface species. These HF species are formed during the SF6 plasma exposure and were detected both at the surface and in the gas phase using infrared spectroscopy and quadrupole mass spectrometry (QMS), respectively. Furthermore, QMS and optical emission spectroscopy (OES) measurements showed that CH4 and CH y F4-y (y ≤ 3) species are the main reaction products during the SF6 plasma exposure. The CH4 release is explained by the reaction of CH3 ligands with HF, while CH y F4-y species originate from the interaction of the SF6 plasma with CH3 ligands. At high temperatures, a transition from AlF3 deposition to Al2O3 etching was observed using infrared spectroscopy. The obtained insights indicate a reaction pathway where F radicals from the SF6 plasma eliminate the CH3 ligands remaining after precursor dosing and where F radicals are simultaneously responsible for the fluorination reaction. The understanding of the reaction mechanisms during AlF3 growth can help in developing ALD processes for other metal fluorides using a fluorine-containing plasma as the co-reactant as well as atomic layer etching (ALE) processes involving surface fluorination.
Collapse
Affiliation(s)
- Martijn F. J. Vos
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Harm C. M. Knoops
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
- Oxford
Instruments Plasma Technology, North
End, Bristol BS49 4AP, United Kingdom
| | - Wilhelmus M. M. Kessels
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Adriaan J. M. Mackus
- Department
of Applied Physics, Eindhoven University
of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| |
Collapse
|
12
|
Byun JY, Ji YJ, Kim KH, Kim KS, Tak HW, Ellingboe AR, Yeom GY. Characteristics of silicon nitride deposited by very high frequency (162 MHz)-plasma enhanced atomic layer deposition using bis(diethylamino)silane. NANOTECHNOLOGY 2021; 32:075706. [PMID: 32942270 DOI: 10.1088/1361-6528/abb974] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.7] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
Silicon nitrides, deposited by capacitively coupled plasma (CCP)-type plasma enhanced atomic layer deposition (PEALD), are generally applied to today's nanoscale semiconductor devices, and are currently being investigated in terms of their potential applications in the context of flexible displays, etc. During the PEALD process, 13.56 MHz rf power is generally employed for the generation of reactive gas plasma. In this study, the effects of a higher plasma generation frequency of 162 MHz on both plasma and silicon nitride film characteristics are investigated for the purpose of silicon nitride PEALD, using bis(diethylamino)silane (BDEAS) as the silicon precursor, and N2 plasma as the reactant gas. The PEALD silicon nitride film deposited using the 162 MHz CCP exhibited improved film characteristics, such as reduced surface roughness, a lower carbon percentage, a higher N/Si ratio, a lower wet etch rate in a diluted HF solution, lower leakage current, and higher electric breakdown field, and more uniform step coverage of the silicon nitride film deposited in a high aspect ratio trench, as compared to silicon nitride PEALD using 13.56 MHz CCP. These improved PEALD silicon nitride film characteristics are believed to be related to the higher ion density, higher reactive gas dissociation, and lower ion bombardment energy to the substrate observed in N2 plasma with a 162 MHz CCP.
Collapse
Affiliation(s)
- J Y Byun
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon, Gyeonggi-do 16419, Republic of Korea
| | - Y J Ji
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon, Gyeonggi-do 16419, Republic of Korea
| | - K H Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon, Gyeonggi-do 16419, Republic of Korea
| | - K S Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon, Gyeonggi-do 16419, Republic of Korea
- Research Laboratory of Electronics, Massachusetts Institute of Technology, Cambridge, MA, United States of America
| | - H W Tak
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon, Gyeonggi-do 16419, Republic of Korea
| | - A R Ellingboe
- Plasma Research Laboratory, School of Physical Science, Dublin City University, Dublin, Ireland
| | - G Y Yeom
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon, Gyeonggi-do 16419, Republic of Korea
- SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon, Gyeonggi-do 16419, Republic of Korea
| |
Collapse
|
13
|
Kim Y, Kwon H, Han HS, Kim HJK, Kim BSY, Lee BC, Lee J, Asheghi M, Prinz FB, Goodson KE, Lim J, Sim U, Park W. Tunable Dielectric and Thermal Properties of Oxide Dielectrics via Substrate Biasing in Plasma-Enhanced Atomic Layer Deposition. ACS APPLIED MATERIALS & INTERFACES 2020; 12:44912-44918. [PMID: 32915545 DOI: 10.1021/acsami.0c11086] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.3] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
Abstract
The ability to control the properties of dielectric thin films on demand is of fundamental interest in nanoscale devices. Here, we modulate plasma characteristics at the surface of a substrate to tune both dielectric constant and thermal conductivity of amorphous thin films grown using plasma-enhanced atomic layer deposition. Specifically, we apply a substrate bias ranging from 0 to ∼117 V and demonstrate the systematic tunability of various material parameters of Al2O3. As a function of the substrate bias, we find a nonmonotonical evolution of intrinsic properties, including density, dielectric constant, and thermal conductivity. A key observation is that the maximum values in dielectric constant and effective thermal conductivity emerge at different substrate biases. The impact of density on both thermal conductivity and dielectric constant is further examined using a differential effective medium theory and the Clausius-Mossotti model, respectively. We find that the peak value in the dielectric constant deviates from the Clausius-Mossotti model, indicating the change of oxygen fraction in our thin films as a function of substrate bias. This finding suggests that the increased local strength of plasma sheath not only enhances material density but also controls the dynamics of microstructural defect formation beyond what is possible with conventional approaches. Based on our experimental observations and modeling, we further build a phenomenological relation between dielectric constant and thermal conductivity. Our results pave invaluable avenues for optimizing dielectric thin films at the atomic scale for a wide range of applications in nanoelectronics and energy devices.
Collapse
Affiliation(s)
- Yoonjin Kim
- Division of Mechanical Systems Engineering, Sookmyung Women's University, Seoul 04310, South Korea
| | - Heungdong Kwon
- Department of Mechanical Engineering, Stanford University, Stanford, California 94305, United States
| | - Hyun Soo Han
- Department of Mechanical Engineering, Stanford University, Stanford, California 94305, United States
- Department of Material Sciences and Engineering, Stanford University, Stanford, California 94305, United States
| | - Hyo Jin K Kim
- Department of Mechanical Engineering, Stanford University, Stanford, California 94305, United States
| | - Brian S Y Kim
- Department of Mechanical Engineering, Columbia University, New York, New York 10032, United States
| | - Byung Chul Lee
- Center for BioMicrosystems, Korea Institute of Science and Technology, Seoul 02792, South Korea
| | - Joohyun Lee
- Frontier of Extreme Physics, Korea Research Institute of Standards and Science, Daejeon 34113, South Korea
| | - Mehdi Asheghi
- Department of Mechanical Engineering, Stanford University, Stanford, California 94305, United States
| | - Fritz B Prinz
- Department of Mechanical Engineering, Stanford University, Stanford, California 94305, United States
- Department of Material Sciences and Engineering, Stanford University, Stanford, California 94305, United States
| | - Kenneth E Goodson
- Department of Mechanical Engineering, Stanford University, Stanford, California 94305, United States
- Department of Material Sciences and Engineering, Stanford University, Stanford, California 94305, United States
| | - Jongwoo Lim
- Department of Chemistry, Seoul National University, Seoul 08826, South Korea
| | - Uk Sim
- Department of Material Science and Engineering, Chonnam National University, Gwangju 61186, South Korea
| | - Woosung Park
- Division of Mechanical Systems Engineering, Sookmyung Women's University, Seoul 04310, South Korea
- Institute of Advanced Material Systems, Sookmyung Women's University, Seoul 04310, South Korea
| |
Collapse
|
14
|
Ma HP, Yang JH, Yang JG, Zhu LY, Huang W, Yuan GJ, Feng JJ, Jen TC, Lu HL. Systematic Study of the SiO x Film with Different Stoichiometry by Plasma-Enhanced Atomic Layer Deposition and Its Application in SiO x/SiO₂ Super-Lattice. NANOMATERIALS 2019; 9:nano9010055. [PMID: 30609822 PMCID: PMC6359230 DOI: 10.3390/nano9010055] [Citation(s) in RCA: 29] [Impact Index Per Article: 5.8] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 12/13/2018] [Revised: 12/26/2018] [Accepted: 12/27/2018] [Indexed: 01/26/2023]
Abstract
Atomic scale control of the thickness of thin film makes atomic layer deposition highly advantageous in the preparation of high quality super-lattices. However, precisely controlling the film chemical stoichiometry is very challenging. In this study, we deposited SiOx film with different stoichiometry by plasma enhanced atomic layer deposition. After reviewing various deposition parameters like temperature, precursor pulse time, and gas flow, the silicon dioxides of stoichiometric (SiO2) and non-stoichiometric (SiO1.8 and SiO1.6) were successfully fabricated. X-ray photo-electron spectroscopy was first employed to analyze the element content and chemical bonding energy of these films. Then the morphology, structure, composition, and optical characteristics of SiOx film were systematically studied through atomic force microscope, transmission electron microscopy, X-ray reflection, and spectroscopic ellipsometry. The experimental results indicate that both the mass density and refractive index of SiO1.8 and SiO1.6 are less than SiO2 film. The energy band-gap is approved by spectroscopic ellipsometry data and X-ray photo-electron spectroscopy O 1s analysis. The results demonstrate that the energy band-gap decreases as the oxygen concentration decreases in SiOx film. After we obtained the Si-rich silicon oxide film deposition, the SiO1.6/SiO2 super-lattices was fabricated and its photoluminescence (PL) property was characterized by PL spectra. The weak PL intensity gives us greater awareness that more research is needed in order to decrease the x of SiOx film to a larger extent through further optimizing plasma-enhanced atomic layer deposition processes, and hence improve the photoluminescence properties of SiOx/SiO2 super-lattices.
Collapse
Affiliation(s)
- Hong-Ping Ma
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Jia-He Yang
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Jian-Guo Yang
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Li-Yuan Zhu
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Wei Huang
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Guang-Jie Yuan
- SMIT Center, School of Automation and Mechanical Engineering, Shanghai University, Shanghai 201800, China.
| | - Ji-Jun Feng
- Shanghai Key Laboratory of Modern Optical System, School of Optical-Electrical and Computer Engineering, University of Shanghai for Science and Technology, Shanghai 200093, China.
| | - Tien-Chien Jen
- Department of Mechanical Engineering Science, University of Johannesburg, Johannesburg ZA-2006, South Africa.
| | - Hong-Liang Lu
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| |
Collapse
|
15
|
Kim HS, Meng X, Kim SJ, Lucero AT, Cheng L, Byun YC, Lee JS, Hwang SM, Kondusamy ALN, Wallace RM, Goodman G, Wan AS, Telgenhoff M, Hwang BK, Kim J. Investigation of the Physical Properties of Plasma Enhanced Atomic Layer Deposited Silicon Nitride as Etch Stopper. ACS APPLIED MATERIALS & INTERFACES 2018; 10:44825-44833. [PMID: 30485061 DOI: 10.1021/acsami.8b15291] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/09/2023]
Abstract
Correlations between physical properties linking film quality with wet etch rate (WER), one of the leading figures of merit, in plasma-enhanced atomic layer deposition (PEALD) grown silicon nitride (SiN x) films remain largely unresearched. Achieving a low WER of a SiN x film is especially significant in its use as an etch stopper for technology beyond 7 nm node semiconductor processing. Herein, we explore the correlation between the hydrogen concentration, hydrogen bonding states, bulk film density, residual impurity concentration, and the WERs of PEALD SiN x using Fourier transform infrared spectrometry, X-ray reflectivity, and spectroscopic ellipsometry, etc. PEALD SiN x films for this study were deposited using hexachlorodisilane and hollow cathode plasma source under a range of process temperatures (270-360 °C) and plasma gas compositions (N2/NH3 or Ar/NH3) to understand the influence of hydrogen concentration, hydrogen bonding states, bulk film density, and residual impurity concentration on the WER. Varying hydrogen concentration and differences in the hydrogen bonding states resulted in different bulk film densities and, accordingly, a variation in WER. We observe a linear relationship between hydrogen bonding concentration and WER as well as a reciprocal relationship between bulk film density and WER. Analogous to the PECVD SiN x processes, a reduction in hydrogen bonding concentration arises from either (1) thermal activation or (2) plasma excited species. However, unlike the case with silane (SiH4)-based PECVD SiN x, PEALD SiN x WERs are affected by residual impurities of Si precursors (i.e., chlorine impurity). Thus, possible wet etching mechanisms in HF in which the WER is affected by hydrogen bonding states or residual impurities are proposed. The shifts of amine basicity in SiN x due to different hydrogen bonding states and the changes in Si electrophilicity due to Cl impurity content are suggested as the main mechanisms that influence WER in the PEALD processes.
Collapse
Affiliation(s)
- Harrison Sejoon Kim
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Xin Meng
- Department of Electrical Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Si Joon Kim
- Department of Electrical and Electronics Engineering , Kangwon National University , 1 Gangwondaehakgil , Chuncheon-si , Gangwon-do 24341 , Republic of Korea
| | - Antonio T Lucero
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Lanxia Cheng
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Young-Chul Byun
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Joy S Lee
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Su Min Hwang
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Aswin L N Kondusamy
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Robert M Wallace
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| | - Gary Goodman
- Evans Analytical Group , 104 Windsor Center Drive , East Windsor , New Jersey 08520 , United States
| | - Alan S Wan
- Evans Analytical Group , 104 Windsor Center Drive , East Windsor , New Jersey 08520 , United States
| | - Michael Telgenhoff
- Dow Chemical , 2200 West Salzburg Road , Midland , Michigan 48686 , United States
| | - Byung Keun Hwang
- Dow Chemical , 2200 West Salzburg Road , Midland , Michigan 48686 , United States
| | - Jiyoung Kim
- Department of Materials Science and Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
- Department of Electrical Engineering , The University of Texas at Dallas , 800 West Campbell Road , Richardson , Texas 75080 , United States
| |
Collapse
|
16
|
Ma HP, Lu HL, Yang JH, Li XX, Wang T, Huang W, Yuan GJ, Komarov FF, Zhang DW. Measurements of Microstructural, Chemical, Optical, and Electrical Properties of Silicon-Oxygen-Nitrogen Films Prepared by Plasma-Enhanced Atomic Layer Deposition. NANOMATERIALS (BASEL, SWITZERLAND) 2018; 8:E1008. [PMID: 30563091 PMCID: PMC6316811 DOI: 10.3390/nano8121008] [Citation(s) in RCA: 5] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Abstract] [Key Words] [Grants] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 11/17/2018] [Revised: 12/01/2018] [Accepted: 12/03/2018] [Indexed: 02/01/2023]
Abstract
In this study, silicon nitride (SiNx) thin films with different oxygen concentration (i.e., SiON film) were precisely deposited by plasma enhanced atomic layer deposition on Si (100) substrates. Thus, the effect of oxygen concentration on film properties is able to be comparatively studied and various valuable results are obtained. In detail, x-ray reflectivity, x-ray photoelectron spectroscopy, atomic force microscopy, and spectroscopic ellipsometry are used to systematically characterize the microstructural, optical, and electrical properties of SiON film. The experimental results indicate that the surface roughness increases from 0.13 to 0.2 nm as the oxygen concentration decreases. The refractive index of the SiON film reveals an increase from 1.55 to 1.86 with decreasing oxygen concentration. Accordingly, the band-gap energy of these films determined by oxygen 1s-peak analysis decreases from 6.2 to 4.8 eV. Moreover, the I-V tests demonstrate that the film exhibits lower leakage current and better insulation for higher oxygen concentration in film. These results indicate that oxygen affects microstructural, optical, and electrical properties of the prepared SiNx film.
Collapse
Affiliation(s)
- Hong-Ping Ma
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Hong-Liang Lu
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Jia-He Yang
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Xiao-Xi Li
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Tao Wang
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Wei Huang
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| | - Guang-Jie Yuan
- SMIT Center, School of Automation and Mechanical Engineering, Shanghai University, Shanghai 201800, China.
| | - Fadei F Komarov
- A.N. Sevchenko Institute of Applied Physical Problems, Belarusian State University, 220045 Minsk, Belarus.
| | - David Wei Zhang
- State Key Laboratory of ASIC and System, Shanghai Institute of Intelligent Electronics & Systems, School of Microelectronics, Fudan University, Shanghai 200433, China.
| |
Collapse
|
17
|
Ovanesyan RA, Hausmann DM, Agarwal S. A Three-Step Atomic Layer Deposition Process for SiN x Using Si 2Cl 6, CH 3NH 2, and N 2 Plasma. ACS APPLIED MATERIALS & INTERFACES 2018; 10:19153-19161. [PMID: 29750496 DOI: 10.1021/acsami.8b01392] [Citation(s) in RCA: 6] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/08/2023]
Abstract
We report a novel three-step SiN x atomic layer deposition (ALD) process using Si2Cl6, CH3NH2, and N2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si2Cl6 with N2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH3NH2 step was therefore introduced in the ALD cycle to replace the NH3 plasma step with a N2 plasma, while using Si2Cl6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH3NH2 step in addition to the N2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N2 plasma-treated SiN x surface, Si2Cl6 reacts primarily with the surface -NH2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH3NH2 cycle. In the N2 plasma step, reactive -NH2 surface species are created because of the surface H available from the -CH3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.
Collapse
Affiliation(s)
- Rafaiel A Ovanesyan
- Department of Chemical and Biological Engineering , Colorado School of Mines , Golden , Colorado 80401 , United States
| | - Dennis M Hausmann
- Lam Research Corporation , 11155 SW Leveton Drive , Tualatin , Oregon 97062 , United States
| | - Sumit Agarwal
- Department of Chemical and Biological Engineering , Colorado School of Mines , Golden , Colorado 80401 , United States
| |
Collapse
|
18
|
Meng X, Kim HS, Lucero AT, Hwang SM, Lee JS, Byun YC, Kim J, Hwang BK, Zhou X, Young J, Telgenhoff M. Hollow Cathode Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using Pentachlorodisilane. ACS APPLIED MATERIALS & INTERFACES 2018; 10:14116-14123. [PMID: 29551067 DOI: 10.1021/acsami.8b00723] [Citation(s) in RCA: 5] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/08/2023]
Abstract
In this work, a novel chlorodisilane precursor, pentachlorodisilane (PCDS, HSi2Cl5), was investigated for the growth of silicon nitride (SiN x) via hollow cathode plasma-enhanced atomic layer deposition (PEALD). A well-defined self-limiting growth behavior was successfully demonstrated over the growth temperature range of 270-360 °C. At identical process conditions, PCDS not only demonstrated approximately >20% higher growth per cycle than that of a commercially available chlorodisilane precursor, hexachlorodisilane (Si2Cl6), but also delivered a better or at least comparable film quality determined by characterizing the refractive index, wet etch rate, and density of the films. The composition of the SiN x films grown at 360 °C using PCDS, as determined by X-ray photoelectron spectroscopy, showed low O content (∼2 at. %) and Cl content (<1 at. %; below the detection limit). Fourier transform infrared spectroscopy spectra suggested that N-H bonds were the dominant hydrogen-containing bonds in the SiN x films without a significant amount of Si-H bonds originating from the precursor molecules. The possible surface reaction pathways of the PEALD SiN x using PCDS on the surface terminated with amine groups (-NH2 and -NH-) are proposed. The PEALD SiN x films grown using PCDS also exhibited a leakage current density as low as 1-2 nA/cm2 at 2 MV/cm and a breakdown electric field as high as ∼12 MV/cm.
Collapse
Affiliation(s)
| | | | | | | | | | | | | | - Byung Keun Hwang
- The Dow Chemical Company , 2200 W. Salzburg Road , Midland , Michigan 48686 , United States
| | - Xiaobing Zhou
- The Dow Chemical Company , 2200 W. Salzburg Road , Midland , Michigan 48686 , United States
| | - Jeanette Young
- The Dow Chemical Company , 2200 W. Salzburg Road , Midland , Michigan 48686 , United States
| | - Michael Telgenhoff
- The Dow Chemical Company , 2200 W. Salzburg Road , Midland , Michigan 48686 , United States
| |
Collapse
|
19
|
Park JM, Jang SJ, Lee SI, Lee WJ. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride. ACS APPLIED MATERIALS & INTERFACES 2018; 10:9155-9163. [PMID: 29461032 DOI: 10.1021/acsami.7b19741] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/08/2023]
Abstract
We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH3/N2 plasma step, and the N2 plasma step. The H radicals in NH3/N2 plasma efficiently remove the ligands from the precursor, and the N2 plasma after the NH3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.
Collapse
Affiliation(s)
- Jae-Min Park
- Department of Nanotechnology and Advanced Materials Engineering , Sejong University , 209, Neungdong-ro , Gwangjin-gu, Seoul 05006 , Republic of Korea
| | - Se Jin Jang
- DNF Co. Ltd. , 142 Daehwa-ro 132 beon-gil , Daedeok-gu, Daejeon 34366 , Republic of Korea
| | - Sang-Ick Lee
- DNF Co. Ltd. , 142 Daehwa-ro 132 beon-gil , Daedeok-gu, Daejeon 34366 , Republic of Korea
| | - Won-Jun Lee
- Department of Nanotechnology and Advanced Materials Engineering , Sejong University , 209, Neungdong-ro , Gwangjin-gu, Seoul 05006 , Republic of Korea
| |
Collapse
|
20
|
Peña LF, Mattson EC, Nanayakkara CE, Oyekan KA, Mallikarjunan A, Chandra H, Xiao M, Lei X, Pearlstein RM, Derecskei-Kovacs A, Chabal YJ. In Situ Infrared Absorption Study of Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride. LANGMUIR : THE ACS JOURNAL OF SURFACES AND COLLOIDS 2018; 34:2619-2629. [PMID: 29381069 DOI: 10.1021/acs.langmuir.7b03522] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
Abstract
Despite the success of plasma-enhanced atomic layer deposition (PEALD) in depositing quality silicon nitride films, a fundamental understanding of the growth mechanism has been difficult to obtain because of lack of in situ characterization to probe the surface reactions noninvasively and the complexity of reactions induced/enhanced by the plasma. These challenges have hindered the direct observation of intermediate species formed during the reactions. We address this challenge by examining the interaction of Ar plasma using atomically flat, monohydride-terminated Si(111) as a well-defined model surface and focusing on the initial PEALD with aminosilanes. In situ infrared and X-ray photoelectron spectroscopy reveals that an Ar plasma induces desorption of H atoms from H-Si(111) surfaces, leaving Si dangling bonds, and that the reaction of di-sec-butylaminosilane (DSBAS) with Ar plasma-treated surfaces requires the presence of both active sites (Si dangling bonds) and Si-H; there is no reaction on fully H-terminated or activated surfaces. By contrast, high-quality hydrofluoric acid-etched Si3N4 surfaces readily react with DSBAS, resulting in the formation of O-SiH3. However, the presence of back-bonded oxygen in O-SiH3 inhibits H desorption by Ar or N2 plasma, presumably because of stabilization of H against ion-induced desorption. Consequently, there is no reaction of adsorbed aminosilanes even after extensive Ar or N2 plasma treatments; a thermal process is necessary to partially remove H, thereby promoting the formation of active sites. These observations are consistent with a mechanism requiring the presence of both undercoordinated nitrogen and/or dangling bonds and unreacted surface hydrogen. Because active sites are involved, the PEALD process is found to be sensitive to the duration of the plasma exposure treatment and the purge time, during which passivation of these sites can occur.
Collapse
Affiliation(s)
- Luis Fabián Peña
- Department of Materials Science & Engineering, The University of Texas at Dallas , Richardson, Texas 75080, United States
| | - Eric C Mattson
- Department of Materials Science & Engineering, The University of Texas at Dallas , Richardson, Texas 75080, United States
| | - Charith E Nanayakkara
- Department of Materials Science & Engineering, The University of Texas at Dallas , Richardson, Texas 75080, United States
| | - Kolade A Oyekan
- Department of Materials Science & Engineering, The University of Texas at Dallas , Richardson, Texas 75080, United States
| | - Anupama Mallikarjunan
- Versum Materials, Inc. , 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Haripin Chandra
- Versum Materials, Inc. , 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Manchao Xiao
- Versum Materials, Inc. , 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Xinjian Lei
- Versum Materials, Inc. , 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Ronald M Pearlstein
- Versum Materials, Inc. , 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Agnes Derecskei-Kovacs
- Versum Materials, Inc. , 7201 Hamilton Blvd., Allentown, Pennsylvania 18195, United States
| | - Yves J Chabal
- Department of Materials Science & Engineering, The University of Texas at Dallas , Richardson, Texas 75080, United States
| |
Collapse
|
21
|
Kim KS, Kim KH, Ji YJ, Park JW, Shin JH, Ellingboe AR, Yeom GY. Silicon Nitride Deposition for Flexible Organic Electronic Devices by VHF (162 MHz)-PECVD Using a Multi-Tile Push-Pull Plasma Source. Sci Rep 2017; 7:13585. [PMID: 29051604 PMCID: PMC5648850 DOI: 10.1038/s41598-017-14122-4] [Citation(s) in RCA: 21] [Impact Index Per Article: 3.0] [Reference Citation Analysis] [Abstract] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 07/10/2017] [Accepted: 10/04/2017] [Indexed: 12/02/2022] Open
Abstract
Depositing a barrier film for moisture protection without damage at a low temperature is one of the most important steps for organic-based electronic devices. In this study, the authors investigated depositing thin, high-quality SiNx film on organic-based electronic devices, specifically, very high-frequency (162 MHz) plasma-enhanced chemical vapor deposition (VHF-PECVD) using a multi-tile push-pull plasma source with a gas mixture of NH3/SiH4 at a low temperature of 80 °C. The thin deposited SiNx film exhibited excellent properties in the stoichiometry, chemical bonding, stress, and step coverage. Thin film quality and plasma damage were investigated by the water vapor transmission rate (WVTR) and by electrical characteristics of organic light-emitting diode (OLED) devices deposited with SiNx, respectively. The thin deposited SiNx film exhibited a low WVTR of 4.39 × 10-4 g (m2 · day)-1 for a single thin (430 nm thick) film SiNx and the electrical characteristics of OLED devices before and after the thin SiNx film deposition on the devices did not change, which indicated no electrical damage during the deposition of SiNx on the OLED device.
Collapse
Affiliation(s)
- Ki Seok Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea
| | - Ki Hyun Kim
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea
| | - You Jin Ji
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea
| | - Jin Woo Park
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea
| | - Jae Hee Shin
- Plasma Research Laboratory, School of Physical Sciences, Dublin City University, Dublin, 9, Ireland
| | - Albert Rogers Ellingboe
- Plasma Research Laboratory, School of Physical Sciences, Dublin City University, Dublin, 9, Ireland
| | - Geun Young Yeom
- School of Advanced Materials Science and Engineering, Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
- SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, 2066 Seobu-ro, Jangan-gu, Suwon-si, Gyeonggi-do, 16419, Republic of Korea.
| |
Collapse
|
22
|
Faraz T, van Drunen M, Knoops HCM, Mallikarjunan A, Buchanan I, Hausmann DM, Henri J, Kessels WMM. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N 2 Plasma on Planar and 3D Substrate Topographies. ACS APPLIED MATERIALS & INTERFACES 2017; 9:1858-1869. [PMID: 28059494 DOI: 10.1021/acsami.6b12267] [Citation(s) in RCA: 4] [Impact Index Per Article: 0.6] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 05/12/2023]
Abstract
The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiNx) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiNx films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiNx using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH3N(sBu)2), and N2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiNx deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH2(NHtBu)2), and N2 plasma. Dense films (∼3.1 g/cm3) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiNx films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H2O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiNx deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiNx films on both planar and 3D substrate topographies.
Collapse
Affiliation(s)
- Tahsin Faraz
- Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Maarten van Drunen
- Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Harm C M Knoops
- Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
- Oxford Instruments Plasma Technology, North End, Bristol BS49 4AP, U.K
| | - Anupama Mallikarjunan
- Air Products and Chemicals Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Iain Buchanan
- Air Products and Chemicals Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011, United States
| | - Dennis M Hausmann
- Lam Research Corporation, 11155 Southwest Leveton Drive, Tualatin, Oregon 97062, United States
| | - Jon Henri
- Lam Research Corporation, 11155 Southwest Leveton Drive, Tualatin, Oregon 97062, United States
| | - Wilhelmus M M Kessels
- Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| |
Collapse
|
23
|
Chen Z, Wang H, Wang X, Chen P, Liu Y, Zhao H, Zhao Y, Duan Y. Low-temperature remote plasma enhanced atomic layer deposition of ZrO 2/zircone nanolaminate film for efficient encapsulation of flexible organic light-emitting diodes. Sci Rep 2017; 7:40061. [PMID: 28059160 PMCID: PMC5216332 DOI: 10.1038/srep40061] [Citation(s) in RCA: 39] [Impact Index Per Article: 5.6] [Reference Citation Analysis] [Abstract] [Track Full Text] [Download PDF] [Figures] [Journal Information] [Subscribe] [Scholar Register] [Received: 08/26/2016] [Accepted: 11/30/2016] [Indexed: 11/29/2022] Open
Abstract
Encapsulation is essential to protect the air-sensitive components of organic light-emitting diodes (OLEDs) such as active layers and cathode electrodes. In this study, hybrid zirconium inorganic/organic nanolaminates were fabricated using remote plasma enhanced atomic layer deposition (PEALD) and molecular layer deposition at a low temperature. The nanolaminate serves as a thin-film encapsulation layer for OLEDs. The reaction mechanism of PEALD process was investigated using an in-situ quartz crystal microbalance (QCM) and in-situ quadrupole mass spectrometer (QMS). The bonds present in the films were determined by Fourier transform infrared spectroscopy. The primary reaction byproducts in PEALD, such as CO, CO2, NO, H2O, as well as the related fragments during the O2 plasma process were characterized using the QMS, indicating a combustion-like reaction process. The self-limiting nature and growth mechanisms of the ZrO2 during the complex surface chemical reaction of the ligand and O2 plasma were monitored using the QCM. The remote PEALD ZrO2/zircone nanolaminate structure prolonged the transmission path of water vapor and smooth surface morphology. Consequently, the water barrier properties were significantly improved (reaching 3.078 × 10−5 g/m2/day). This study also shows that flexible OLEDs can be successfully encapsulated to achieve a significantly longer lifetime.
Collapse
Affiliation(s)
- Zheng Chen
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China
| | - Haoran Wang
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China
| | - Xiao Wang
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China
| | - Ping Chen
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China
| | - Yunfei Liu
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China.,Computer Science and Technology Department, Jilin University, Changchun 130012, Jilin, China
| | - Hongyu Zhao
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China
| | - Yi Zhao
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China
| | - Yu Duan
- State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Jilin 130012, China.,College of Science, Changchun University of Science and Technology, Changchun, 130012, China
| |
Collapse
|
24
|
Van Bui H, Grillo F, van Ommen JR. Atomic and molecular layer deposition: off the beaten track. Chem Commun (Camb) 2017; 53:45-71. [DOI: 10.1039/c6cc05568k] [Citation(s) in RCA: 136] [Impact Index Per Article: 19.4] [Reference Citation Analysis] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/07/2023]
Abstract
ALD archetype and deviations from it.
Collapse
Affiliation(s)
- H. Van Bui
- Chemical Engineering Department
- Delft University of Technology
- 2629 HZ Delft
- The Netherlands
| | - F. Grillo
- Chemical Engineering Department
- Delft University of Technology
- 2629 HZ Delft
- The Netherlands
| | - J. R. van Ommen
- Chemical Engineering Department
- Delft University of Technology
- 2629 HZ Delft
- The Netherlands
| |
Collapse
|
25
|
Atomic Layer Deposition of Silicon Nitride Thin Films: A Review of Recent Progress, Challenges, and Outlooks. MATERIALS 2016; 9:ma9121007. [PMID: 28774125 PMCID: PMC5457024 DOI: 10.3390/ma9121007] [Citation(s) in RCA: 21] [Impact Index Per Article: 2.6] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Download PDF] [Figures] [Subscribe] [Scholar Register] [Received: 11/08/2016] [Revised: 12/01/2016] [Accepted: 12/06/2016] [Indexed: 12/11/2022]
Abstract
With the continued miniaturization of devices in the semiconductor industry, atomic layer deposition (ALD) of silicon nitride thin films (SiNx) has attracted great interest due to the inherent benefits of this process compared to other silicon nitride thin film deposition techniques. These benefits include not only high conformality and atomic-scale thickness control, but also low deposition temperatures. Over the past 20 years, recognition of the remarkable features of SiNx ALD, reinforced by experimental and theoretical investigations of the underlying surface reaction mechanism, has contributed to the development and widespread use of ALD SiNx thin films in both laboratory studies and industrial applications. Such recognition has spurred ever-increasing opportunities for the applications of the SiNx ALD technique in various arenas. Nevertheless, this technique still faces a number of challenges, which should be addressed through a collaborative effort between academia and industry. It is expected that the SiNx ALD will be further perceived as an indispensable technique for scaling next-generation ultra-large-scale integration (ULSI) technology. In this review, the authors examine the current research progress, challenges and future prospects of the SiNx ALD technique.
Collapse
|
26
|
Park JM, Jang SJ, Yusup LL, Lee WJ, Lee SI. Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride Using a Novel Silylamine Precursor. ACS APPLIED MATERIALS & INTERFACES 2016; 8:20865-20871. [PMID: 27447839 DOI: 10.1021/acsami.6b06175] [Citation(s) in RCA: 11] [Impact Index Per Article: 1.4] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
Abstract
We report the plasma-enhanced atomic layer deposition (PEALD) of silicon nitride thin film using a silylamine compound as the silicon precursor. A series of silylamine compounds were designed by replacing SiH3 groups in trisilylamine by dimethylaminomethylsilyl or trimethylsilyl groups to obtain sufficient thermal stability. The silylamine compounds were synthesized through redistribution, amino-substitution, lithiation, and silylation reactions. Among them, bis(dimethylaminomethylsilyl)trimethylsilyl amine (C9H29N3Si3, DTDN2-H2) was selected as the silicon precursor because of the lowest bond dissociation energy and sufficient vapor pressures. The energies for adsorption and reaction of DTDN2-H2 with the silicon nitride surface were also calculated by density functional theory. PEALD silicon nitride thin films were prepared using DTDN2-H2 and N2 plasma. The PEALD process window was between 250 and 400 °C with a growth rate of 0.36 Å/cycle. The best film quality was obtained at 400 °C with a RF power of 100 W. The PEALD film prepared showed good bottom and sidewall coverages of ∼80% and ∼73%, respectively, on a trench-patterned wafer with an aspect ratio of 5.5.
Collapse
Affiliation(s)
- Jae-Min Park
- Department of Nanotechnology and Advanced Materials Engineering, Sejong University , 209 Neungdong-ro, Gwangjin-gu, Seoul 05006, Republic of Korea
| | - Se Jin Jang
- DNF Co. Ltd. , 142 Daehwa-ro 132 beon-gil, Daedeok-gu, Daejeon 34366, Republic of Korea
| | - Luchana L Yusup
- Department of Nanotechnology and Advanced Materials Engineering, Sejong University , 209 Neungdong-ro, Gwangjin-gu, Seoul 05006, Republic of Korea
| | - Won-Jun Lee
- Department of Nanotechnology and Advanced Materials Engineering, Sejong University , 209 Neungdong-ro, Gwangjin-gu, Seoul 05006, Republic of Korea
| | - Sang-Ick Lee
- DNF Co. Ltd. , 142 Daehwa-ro 132 beon-gil, Daedeok-gu, Daejeon 34366, Republic of Korea
| |
Collapse
|
27
|
Kim Y, Provine J, Walch SP, Park J, Phuthong W, Dadlani AL, Kim HJ, Schindler P, Kim K, Prinz FB. Plasma-Enhanced Atomic Layer Deposition of SiN-AlN Composites for Ultra Low Wet Etch Rates in Hydrofluoric Acid. ACS APPLIED MATERIALS & INTERFACES 2016; 8:17599-17605. [PMID: 27295338 DOI: 10.1021/acsami.6b03194] [Citation(s) in RCA: 6] [Impact Index Per Article: 0.8] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
Abstract
The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposited (ALD) of hydrofluoric acid (HF) etch resistant and electrically insulating films for sidewall spacer processing. Silicon nitride (SiN) has been the prototypical material for this need and extensive work has been conducted into realizing sufficiently lower wet etch rates (WERs) as well as leakage currents to meet industry needs. In this work, we report on the development of plasma-enhanced atomic layer deposition (PEALD) composites of SiN and AlN to minimize WER and leakage current density. In particular, the role of aluminum and the optimum amount of Al contained in the composite structures have been explored. Films with near zero WER in dilute HF and leakage currents density similar to pure PEALD SiN films could be simultaneously realized through composites which incorporate ≥13 at. % Al, with a maximum thermal budget of 350 °C.
Collapse
Affiliation(s)
| | | | | | | | | | | | | | | | - Kihyun Kim
- Manufacturing Technology Center, Samsung Electronics , Suwon, Gyeonggi-Do South Korea
| | | |
Collapse
|
28
|
Andringa AM, Perrotta A, de Peuter K, Knoops HCM, Kessels WMM, Creatore M. Low-Temperature Plasma-Assisted Atomic Layer Deposition of Silicon Nitride Moisture Permeation Barrier Layers. ACS APPLIED MATERIALS & INTERFACES 2015; 7:22525-22532. [PMID: 26393381 DOI: 10.1021/acsami.5b06801] [Citation(s) in RCA: 18] [Impact Index Per Article: 2.0] [Reference Citation Analysis] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/05/2023]
Abstract
Encapsulation of organic (opto-)electronic devices, such as organic light-emitting diodes (OLEDs), photovoltaic cells, and field-effect transistors, is required to minimize device degradation induced by moisture and oxygen ingress. SiNx moisture permeation barriers have been fabricated using a very recently developed low-temperature plasma-assisted atomic layer deposition (ALD) approach, consisting of half-reactions of the substrate with the precursor SiH2(NH(t)Bu)2 and with N2-fed plasma. The deposited films have been characterized in terms of their refractive index and chemical composition by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and Fourier-transform infrared spectroscopy (FTIR). The SiNx thin-film refractive index ranges from 1.80 to 1.90 for films deposited at 80 °C up to 200 °C, respectively, and the C, O, and H impurity levels decrease when the deposition temperature increases. The relative open porosity content of the layers has been studied by means of multisolvent ellipsometric porosimetry (EP), adopting three solvents with different kinetic diameters: water (∼0.3 nm), ethanol (∼0.4 nm), and toluene (∼0.6 nm). Irrespective of the deposition temperature, and hence the impurity content in the SiNx films, no uptake of any adsorptive has been observed, pointing to the absence of open pores larger than 0.3 nm in diameter. Instead, multilayer development has been observed, leading to type II isotherms that, according to the IUPAC classification, are characteristic of nonporous layers. The calcium test has been performed in a climate chamber at 20 °C and 50% relative humidity to determine the intrinsic water vapor transmission rate (WVTR) of SiNx barriers deposited at 120 °C. Intrinsic WVTR values in the range of 10(-6) g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with that of state-of-the-art plasma-enhanced chemical vapor-deposited SiNx layers of a few hundred nanometers in thickness.
Collapse
Affiliation(s)
- Anne-Marije Andringa
- Department of Applied Physics, Eindhoven University of Technology , P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Alberto Perrotta
- Department of Applied Physics, Eindhoven University of Technology , P.O. Box 513, 5600 MB Eindhoven, The Netherlands
- Dutch Polymer Institute (DPI) , P.O. Box 902, 5600 AX Eindhoven, The Netherlands
| | - Koen de Peuter
- Department of Applied Physics, Eindhoven University of Technology , P.O. Box 513, 5600 MB Eindhoven, The Netherlands
| | - Harm C M Knoops
- Department of Applied Physics, Eindhoven University of Technology , P.O. Box 513, 5600 MB Eindhoven, The Netherlands
- Oxford Instruments Plasma Technology , North End, Bristol BS49 4AP, United Kingdom
| | - Wilhelmus M M Kessels
- Department of Applied Physics, Eindhoven University of Technology , P.O. Box 513, 5600 MB Eindhoven, The Netherlands
- Solliance Solar Research , High Tech Campus 5, 5656 AE Eindhoven, The Netherlands
| | - Mariadriana Creatore
- Department of Applied Physics, Eindhoven University of Technology , P.O. Box 513, 5600 MB Eindhoven, The Netherlands
- Solliance Solar Research , High Tech Campus 5, 5656 AE Eindhoven, The Netherlands
| |
Collapse
|