• Reference Citation Analysis
  • v
  • v
  • Find an Article
  • Find an Author
Download
Number Citation Analysis
1
Zhang Z, Filez M, Solano E, Poonkottil N, Li J, Minjauw MM, Poelman H, Rosenthal M, Brüner P, Galvita VV, Detavernier C, Dendooven J. Controlling Pt nanoparticle sintering by sub-monolayer MgO ALD thin films. Nanoscale 2024;16:5362-5373. [PMID: 38375669 DOI: 10.1039/d3nr05884k] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 02/21/2024]
2
Santo Domingo Peñaranda J, Minjauw MM, Vandenbroucke SST, Petit R, Li J, Dendooven J, Detavernier C. Depositing ALD-oxides on MLD-metalcones: enhancing initial growth through O2 plasma densification. Dalton Trans 2023;52:7219-7224. [PMID: 37163359 DOI: 10.1039/d3dt00378g] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 05/12/2023]
3
Minjauw MM, Feng JY, Sajavaara T, Detavernier C, Dendooven J. Atomic layer deposition of ternary ruthenates by combining metalorganic precursors with RuO4 as the co-reactant. Dalton Trans 2021;51:10721-10727. [PMID: 34826323 DOI: 10.1039/d1dt03543f] [Citation(s) in RCA: 3] [Impact Index Per Article: 1.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/20/2022]
4
Dendooven J, Solano E, Feng JY, Ramachandran RK, Minjauw MM, Van Daele M, Coati A, Hermida-Merino D, Detavernier C. In situ study of noble metal atomic layer deposition processes using grazing-incidence small-angle X-ray scattering. Acta Crystallogr A Found Adv 2021. [DOI: 10.1107/s0108767321095453] [Citation(s) in RCA: 0] [Impact Index Per Article: 0] [Reference Citation Analysis] [What about the content of this article? (0)] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/10/2022]  Open
5
Leemans J, Dümbgen KC, Minjauw MM, Zhao Q, Vantomme A, Infante I, Detavernier C, Hens Z. Acid–Base Mediated Ligand Exchange on Near-Infrared Absorbing, Indium-Based III–V Colloidal Quantum Dots. J Am Chem Soc 2021;143:4290-4301. [DOI: 10.1021/jacs.0c12871] [Citation(s) in RCA: 15] [Impact Index Per Article: 5.0] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/12/2023]
6
Santo Domingo Peñaranda J, Nisula M, Vandenbroucke SST, Minjauw MM, Li J, Werbrouck A, Keukelier J, Pitillas Martínez AI, Dendooven J, Detavernier C. Converting molecular layer deposited alucone films into Al2O3/alucone hybrid multilayers by plasma densification. Dalton Trans 2021;50:1224-1232. [PMID: 33351866 DOI: 10.1039/d0dt03896b] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.7] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/30/2023]
7
Van Daele M, Griffiths MBE, Minjauw MM, Barry ST, Detavernier C, Dendooven J. Reaction mechanism of the Me3AuPMe3-H2 plasma-enhanced ALD process. Phys Chem Chem Phys 2020;22:11903-11914. [PMID: 32436930 DOI: 10.1039/c9cp06855d] [Citation(s) in RCA: 2] [Impact Index Per Article: 0.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 12/28/2022]
8
Solano E, Dendooven J, Feng JY, Brüner P, Minjauw MM, Ramachandran RK, Van Daele M, Van de Kerckhove K, Dobbelaere T, Coati A, Hermida-Merino D, Detavernier C. In situ study of the thermal stability of supported Pt nanoparticles and their stabilization via atomic layer deposition overcoating. Nanoscale 2020;12:11684-11693. [PMID: 32441288 DOI: 10.1039/d0nr02444a] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/11/2023]
9
Feng JY, Minjauw MM, Ramachandran RK, Van Daele M, Poelman H, Sajavaara T, Dendooven J, Detavernier C. The co-reactant role during plasma enhanced atomic layer deposition of palladium. Phys Chem Chem Phys 2020;22:9124-9136. [PMID: 32301468 DOI: 10.1039/d0cp00786b] [Citation(s) in RCA: 5] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/11/2023]
10
Dendooven J, Van Daele M, Solano E, Ramachandran RK, Minjauw MM, Resta A, Vlad A, Garreau Y, Coati A, Portale G, Detavernier C. Surface mobility and impact of precursor dosing during atomic layer deposition of platinum:in situmonitoring of nucleation and island growth. Phys Chem Chem Phys 2020;22:24917-24933. [DOI: 10.1039/d0cp03563g] [Citation(s) in RCA: 9] [Impact Index Per Article: 2.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/21/2022]
11
Vandenbroucke SST, Levrau E, Minjauw MM, Van Daele M, Solano E, Vos R, Dendooven J, Detavernier C. Study of the surface species during thermal and plasma-enhanced atomic layer deposition of titanium oxide films using in situ IR-spectroscopy and in vacuo X-ray photoelectron spectroscopy. Phys Chem Chem Phys 2020;22:9262-9271. [DOI: 10.1039/d0cp00395f] [Citation(s) in RCA: 10] [Impact Index Per Article: 2.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 01/06/2023]
12
Van Daele M, Griffiths MBE, Raza A, Minjauw MM, Solano E, Feng JY, Ramachandran RK, Clemmen S, Baets R, Barry ST, Detavernier C, Dendooven J. Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature. ACS Appl Mater Interfaces 2019;11:37229-37238. [PMID: 31523948 DOI: 10.1021/acsami.9b10848] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.6] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/10/2023]
13
Rongé J, Dobbelaere T, Henderick L, Minjauw MM, Sree SP, Dendooven J, Martens JA, Detavernier C. Bifunctional earth-abundant phosphate/phosphide catalysts prepared via atomic layer deposition for electrocatalytic water splitting. Nanoscale Adv 2019;1:4166-4172. [PMID: 36132102 PMCID: PMC9419003 DOI: 10.1039/c9na00391f] [Citation(s) in RCA: 9] [Impact Index Per Article: 1.8] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Grants] [Track Full Text] [Subscribe] [Scholar Register] [Received: 06/21/2019] [Accepted: 09/20/2019] [Indexed: 06/11/2023]
14
Solano E, Dendooven J, Minjauw MM, Ramachandran RK, Van de Kerckhove K, Dobbelaere T, Hermida-Merino D, Detavernier C. Correction: Key role of surface oxidation and reduction processes in the coarsening of Pt nanoparticles. Nanoscale 2017;9:18109. [PMID: 29143033 DOI: 10.1039/c7nr90247f] [Citation(s) in RCA: 1] [Impact Index Per Article: 0.1] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
15
Solano E, Dendooven J, Minjauw MM, Ramachandran RK, Van de Kerckhove K, Dobbelaere T, Hermida-Merino D, Detavernier C. Key role of surface oxidation and reduction processes in the coarsening of Pt nanoparticles. Nanoscale 2017;9:13159-13170. [PMID: 28850144 DOI: 10.1039/c7nr04278g] [Citation(s) in RCA: 9] [Impact Index Per Article: 1.3] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/07/2023]
16
Dendooven J, Solano E, Minjauw MM, Van de Kerckhove K, Coati A, Fonda E, Portale G, Garreau Y, Detavernier C. Mobile setup for synchrotron based in situ characterization during thermal and plasma-enhanced atomic layer deposition. Rev Sci Instrum 2016;87:113905. [PMID: 27910568 DOI: 10.1063/1.4967711] [Citation(s) in RCA: 5] [Impact Index Per Article: 0.6] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
17
Ramachandran RK, Dendooven J, Filez M, Galvita VV, Poelman H, Solano E, Minjauw MM, Devloo-Casier K, Fonda E, Hermida-Merino D, Bras W, Marin GB, Detavernier C. Atomic Layer Deposition Route To Tailor Nanoalloys of Noble and Non-noble Metals. ACS Nano 2016;10:8770-8777. [PMID: 27585708 DOI: 10.1021/acsnano.6b04464] [Citation(s) in RCA: 28] [Impact Index Per Article: 3.5] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Key Words] [Track Full Text] [Subscribe] [Scholar Register] [Indexed: 06/06/2023]
18
Devulder W, Opsomer K, Minjauw MM, Meersschaut J, Jurczak M, Goux L, Detavernier C. Study of amorphous Cu–Te–Si thin films showing high thermal stability for application as a cation supply layer in conductive bridge random access memory devices. RSC Adv 2016. [DOI: 10.1039/c6ra04064k] [Citation(s) in RCA: 3] [Impact Index Per Article: 0.4] [Reference Citation Analysis] [What about the content of this article? (0)] [Affiliation(s)] [Abstract] [Track Full Text] [Journal Information] [Subscribe] [Scholar Register] [Indexed: 11/21/2022]  Open
PrevPage 1 of 1 1Next
© 2004-2024 Baishideng Publishing Group Inc. All rights reserved. 7041 Koll Center Parkway, Suite 160, Pleasanton, CA 94566, USA